Determine the example - fork-join, Computer Engineering

Assignment Help:

Determine the Example - "fork-join"

module initial_fork_join();

reg clk,reset,enable,data;

initial begin

$monitor("‰g clk=‰b reset=‰b enable=‰b data=‰b",

$time, clk, reset, enable, data);

fork

#1 clk = 0;

#10 reset = 0;

#5 enable = 0;

#3 data = 0;

join

#1 $display ("‰g Terminating simulation", $time);

$finish;

end

endmodule

 


Related Discussions:- Determine the example - fork-join

Why a function should have at least one input, Why a function should have a...

Why a function should have at least one input? There is no strong reason for this in verilog. I think this restriction isn't removed fin SystemVerilog. Some requirements where

Functions of an operating system, Question a) In multitasking Operatin...

Question a) In multitasking Operating Systems, there are two kinds of multitasking such as the "Preemptive Multitasking" and the "Cooperative Multitasking". Explain the two me

Disk operating system, Q. Disk operating system? The operating system (...

Q. Disk operating system? The operating system (OS) is the first program that should be loaded into the memory of your PC before you can use it for any application. You can st

Explain the storage class register, The Storage Class register The Sto...

The Storage Class register The Storage Class register : The storage class 'register' tells the compiler that the associated variable  should  be stored  in  high-speed  memor

What is the protocol used by sap gateway process, What is the protocol used...

What is the protocol used by SAP Gateway process? The SAP Gateway method communicates with the clients based on the TCP/IP Protocol.

How future environment changes can be predicted - simulation, How future cl...

How future climate/environment changes can be predicted -  Information over time is fed into a weather/climate model -  based on changes in weather patterns, carries out a s

Micro programmed control and hardwired control, Explain micro programmed co...

Explain micro programmed control. Ans: A micro programmed control unit is made around storage till is called a control store where all the control signals are stored in a prog

Explain naming convention constants, Explanation Constants are "variable...

Explanation Constants are "variables" that cannot be changed within a function- or script-body. The value will always be the similar during script-implementation. Syntax "AX

Illustrate about sharing of structure and behaviour, Illustrate about Shari...

Illustrate about Sharing of Structure and Behaviour  One of the reasons for the popularity of object-oriented techniques is that they promote sharing at different levels. Inher

Write Your Message!

Captcha
Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd