Question 1let us assume there is a two-terminal box there

Assignment Help Electrical Engineering
Reference no: EM13371541

Question 1.

Let us assume there is a two-terminal box. There are one resistor, one capacitor and one inductor inside the box. They can be connected in any configuration. You only have access to a DC source, signal generator, oscilloscope and one 1 Ω resistor. How can you define the connection type and numerical values of R, L and C inside the box? Explain your answers for each connection configuration in detail.

Question 2.

Let us assume a single-phase source with voltage rms of 11 kV, angle of zero and frequency of 5O Hz is connected through a line with an impedance of 0.1(1+j) ohm to an ideal transformer with the voltage ratio of 11kW400 V. The transformer secondary side is connected through a line with an impedance of 0.2(1+j) ohm to a load with an active power consumption of 1 kW and power factor of 0.7 lagging.

A) By adding a parallel device to the load, we intend to change the equivalent power factor of load and the new device to 0.95 lagging. Explain in detail what this device must be and how much its numerical value should be.

B) Calculate the current in the output of the source before and after the installation of the new device.

Question 3.

Let us assume we have a DC voltage measured in a circuit, called VA. We intend to calculate VB as

1222_Calculate the current in the output of the source.png

Draw an electric circuit which its input is VA and its output is VB. Then verify your circuit for any arbitrary value of VA using PSP IC E.

Question 4.

Let us assume there is a current source which generates an AC current equal to

is = sin(2Π50t) + 0.3sin(2Π150t) + 0.2sin(2Π250t) + 0.1.sin(2Π350t) + 0.05sin(2Π450t)

It Is desired that only the 50 Hz component of the current source Is passed to the rest of the circuit and all other frequency components are blocked. Now design a proper second order passive filter placed in parallel with the current source which only passes the 50 Hz component to the rest of the circuit. Verify your filter design using MATLAB or PSPICE.

Question 5.

Let us assume there is a sensitive electronic device connected to as 5V DC source. The device should be protected so that the current passing through it does not become more than 1 Ampere. A switch is made in series with the source that can disconnect the sensitive electronic device. The switch operates based on the protection circuit which we want to design. For this, let us assume a 1 ohm resistor is in series with our sensitive electronic device. The resistor's temperature is a function of its power loss as

Tempresistor  = √Plossresistor

A temperature sensor is located beside the resistor which measures its temperature. Ambient temperature is not affecting this sensor. The temperature sensor output is a voltage which is equal to the temperature sensed by the sensor. Design an electric circuit which can get the output voltage of the sensor and give a zero/negative (LOW) or nonzero high (HIGH) voltage output. Once the output of the designed protection circuit becomes HIGH, the switch will disconnected the sensitive load from the source.

Reference no: EM13371541

Questions Cloud

Ques 1 what is the need of international financial : ques 1. what is the need of international financial management? list out the difference between domestic finance amp
Survey with construction applicationsquestion 1layout of : survey with construction applicationsquestion 1layout of highway curvesa. is an approximation technique.b. should be
Looks at the effects of galerucella on purple loosestrife : looks at the effects of galerucella on purple loosestrife. you should formulate a hypothesis based on some of the
Questionderby ltd operates a chain of department stores in : questionderby ltd operates a chain of department stores in melbourne which uses a courier company for deliveries. the
Question 1let us assume there is a two-terminal box there : question 1.let us assume there is a two-terminal box. there are one resistor one capacitor and one inductor inside the
To enhance speed accuracy and reliability of their : to enhance speed accuracy and reliability of their information system sewworld has option to either purchase software
1 competitive auctions on ebaycom the ebayauctions : 1 competitive auctions on ebay.com. the ebayauctions contains information on 1972 auctions transacted on ebay.com
Question 11using the diagram belowlsquobuilding blocks of : question 11.using the diagram belowlsquobuilding blocks of financial management explain the three most important
Q1 strategy implementation amp disruption qsbull kaplan : q1. strategy implementation amp disruption qsbull kaplan amp norton suggest techniques for implementing strategies

Reviews

Write a Review

Electrical Engineering Questions & Answers

  Determine what is the voltage displayed on the dmm

1.The signal is 10 sin (pi 500t +30deg). The amplitude of this signal is 2.The signal is 2 sin(pi 1500 t + 60deg). The frequency of the signal is 3.The signal is 5 sin(4 pi 800t - 80 deg). The phase of this signal is

  Will temperature of air rise as compressed by compressor

Consider an air compressor operating steadily. How would you compare the volumetric flow rates of the air at the compressor inlet and outlet. Will the temperature of air rise as it is compressed by an adiabatic compressor.

  Design an integrator with an input resistance of 1m?

A) Design an integrator with an input resistanceof 1MΩ. Select the capacitor such that whenvi= +10V, vo travels from 0 to 10V in .1seconds. B) If vi = 0 and offset voltage equals 5 mV,what is the current through R

  Which sample will have lower resistivity and why

1. The electron concentration in the conduction band of a GaAs sample is 10^5/cm^3 at room temperature. Is it p type or n type, how do you know 2. Based on the Kronig-Penny model, would you expect the bandgap of a semiconductor to increase

  Perform the same calculation for the bottom string of guitar

Waves and Strings: compute the tension in the top string (i.e. E string, lowest note) of a properly tuned guitar. You will likely need to spend a couple minutes on the internet to obtain length and any other important properties of the string.

  Find the average signal y using the integral

Let x(t) = u(t)  u(t  1).Find the average signal y(t) using the above integral. Let T = 1. Carefully plot y(t).Verify your result by graphically computing the convolution of x(t) and the impulse response h(t) of the averager.

  Calculate magnetizing current and component to core loss

A 10kVA, 200/400V 50Hz single-phase transformer gave the following test results. O/C test: 200V, 1.3A, 120W, on l.v. side. S/C test: 22V, 30A, 200W on h.v. side. (a) Calculate the magnetizing current and the component corresponding to core loss at ..

  Design a combinational logic circuit which has one output z

Design a combinational logic circuit which has one output Z and a 4-bit input ABCD representing a binary number. Z should be 1 iff the input is at least 5, but is no greater than 11. Use one OR gate (three inputs) and three AND gates

  What would be the filament resistance of a bulb designed

Consider the problem of using a low voltage system to power a small cabin. Suppose a 12-V system powers a pair of 100-W light bulbs (wired in parallel). A) What would be the filament resistance of a bulb designed to use 100 W when it receives 12 V

  Design a third-order butterworth highpass

design a third-order Butterworth highpass filter with fc=100 Hz and high frequency gain of 20 dB using the standard form of the third-order Butterworth polynomial (s+1)(s^2+s+1)

  Express e and then f in sum-of-minterms algebraic forms

(a) list the minterms and maxterms of each function (b) list the minterms of ~E and then ~F (c) list the miinterms of E+F and then E.F (d) express E and then F in sum-of-minterms algebraic forms

  Draw wschematic of design specifying the value of resistance

assume filter is connected to a pure resistive load. The cutoff frequency is not to drop below 25krad/s. What is the smallest load resistor that can be connected across the output terminals of the filter.

Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd