Design keyboard which plays any note on single octave

Assignment Help Electrical Engineering
Reference no: EM13889490

Students successfully completing this project exercise will accomplish the following objectives.

1. Learn to plan and implement and test a working hardware system using the concepts studied in class including combinational logic, sequential machines, computer aided design capture, and use of programmable hardware.

2. Gain experience working collaboratively as a member of a team.

3. Produce documentation which describes a digital design in sufficient detail for the system to be repro- duced.

Project Options

Option 1: Multifunction Counter

Design a multifunction counter. The inputs and outputs of the counter are shown in Table 2. Your design should display a sequence of values between 0 and F on the seven-segment display(s) of the Altera DE1 trainer board. The order of values displayed is governed by a 2-bit input called mode according to the description in Table 2. The counter should scroll the output digits across the four seven-segment displays of the DE1.

Table 2: Counter I/O descriptions

Signal Name

In/Out

Description

clk

In

System clock

resetn

In

System reset

mode(1 DOWNTO 0)

In

Mode of operation:

"00" -  All outputs hold their current value.

"01" -  The output increments in numerical    order.

"10" -  The output  increments in Gray code   order.

"11" - The output displays a WCU ID number (e.g. 920123456) number. A single digit blank display should be inserted between cycles of the   number.

left Rightn

In

Scrolling direction: left (1) or right (0)

digit1(6 DOWNTO 0)

Out

Count value digit 1 -  Note:  this is the only digit that will  be needed if scrolling is not  implemented.

digit2(6 DOWNTO 0)

Out

Count value digit 2

digit3(6 DOWNTO 0)

Out

Count value digit 3

digit4(6 DOWNTO 0)

Out

Count value digit 4

Option 2: Single-Octave Keyboard

You are to design a keyboard which plays any note on a single octave. The user interface will consist of eight slide switches and a breadboard speaker circuit (constructed by the project team) that connects to the DE1. The slide switches of the DE1 may be used as keyboard keys to play the appropriate note's frequency on the octave. The note should be audible using a piezo buzzer speaker. Individual note frequencies are given by Table 4. If two or more slide switches are actuated, the higher-frequency note should be played.

Table 4: Musical note frequencies over one octave

Note

Frequency (Hz)

A

440.000

B

494.883

C

554.365

D

587.330

E

659.225

F

739.989

G

830.609

Extra Credit

Display the note being played as a character on the left-most seven-segment display. Display the whole- number portion of the note's frequency on the remaining three seven-segment displays. For example, when playing the A note, the four seven-segment displays should contain A440.

Option 3: Stopwatch

Design a stopwatch capable of timing events lasting up to ten minutes in increments of 0.1 s. Each digit of the time must be displayed as a seven-segment character as shown in Figure 1. The stopwatch must also have the capability to display lap times.

396_Stopwatch.png

Figure 1: Stopwatch display format

The user interface to the stopwatch will consist of four displayed digits as shown above as well as control inputs implemented as buttons or switches. If switches are used, they must be implemented as momentary inputs. The operation of each input and output signal is described in Table 6.

Signal Name

In/Out

Description

clk

In

System clock (10 Hz)

 

resetn

 

In

System reset - This signal asynchronously sets all cir- cuitry in the system to an initialized state regardless of other inputs.

 

start stop

 

In

Start/Stop - This signal synchronously toggles the stop- watch between operational modes of incrementing time or holding the current value of accrued time.

 

 

 

lap

 

 

In

Lap time - This signal synchronously causes the display to hold the current reading of accrued time (the lap time, or split ). While the display shows the lap time, the stopwatch continues to increment. If lap is asserted when a lap time is already being displayed, the display reverts to showing the running timer.

 

clear

 

In

Timer clear - This signal synchronously clears the timer and any stored lap times to zero (0:00.0). This signal  is only effective when the timer is stopped.

minutesDigit(6 DOWNTO 0)

Out

Minutes digit (0-9)

tensDigit(6 DOWNTO 0)

Out

Tens of seconds digit  (0-9)

onesDigit(6 DOWNTO 0)

Out

Seconds digit (0-9)

tenthsDigit(6 DOWNTO 0)

Out

Tenths  of seconds digit (0-9)

Extra Credit

Implement a storage feature which stores the two most recent lap times for a given timed event. Once the stopwatch has been stopped and is no longer accruing time, use the lap input to step through the most recent pair of lap times which were displayed when the timer was incrementing.

Reference no: EM13889490

Questions Cloud

Construct visual basic programs in a response to common : Construct Visual Basic programs in a response to common business problems
Appositive or appositive phrase in the sentence : Choose the appositive or appositive phrase in the sentence. If the sentence has no appositive or appositive phrase, choose none.
The role of women in the church : The Role of Women in the Church. Your friend Mary wants to be involved in ministry at her church. Before she commits, she has a few questions about the role of women in the church in light of the debate between egalitarianism and complementarianis..
Introduction of needed piece of legislation : Be informed about the issue and then write your own views/opinions from personal knowledge or experience. Most importantly, use the evidence! Make your reason memorable to the legislator. Explain why what you request will improve life for this leg..
Design keyboard which plays any note on single octave : Design a keyboard which plays any note on a single octave. The user interface will consist of eight slide switches and a breadboard speaker circuit - Display the note being played as a character on the left-most seven-segment display. Display the ..
Create a menu of options that will be used in a switch case : Create a menu of options that will be used in a switch statement.
Define terms for matt and indicate balance in manufacturing : Matt Litkee is confused about under- and overapplied manufacturing overhead. Define the terms for Matt, and indicate the balance in the manufacturing overhead account applicable to each term.
What elements are involved in computing a overhead rate : What elements are involved in computing a predetermined overhead rate? How can the agreement of Work in Process Inventory and job cost sheets be verified?
Identify an area of nursing practice of interest : Identify an area of nursing practice of interest that requires further exploration, and write an evidence-based practice essay. Provide a background of the nursing issue/s

Reviews

Write a Review

Electrical Engineering Questions & Answers

  Design a microstrip transmission line with z0

Design a microstrip transmission line with Z0 = 100 ohm that will be etached onto a dielectric substrate with er= 3 and a thickness of 4 mm. what should be the width of the microstrip line

  Calculate the normal strain and elongation of the plate

A square plate of titanium is 12cm along the top, 12cm on the right side, and 5mm thick. A normal tensile force of 15kN is applied to the top side of the plate. A normal tensile force of 20kN is applied to the right side of the plate.

  Calculate the effective temp coefficient of combination

Two heating elements which is 500 Ω and 250 Ωare connected in series with temperature coefficient of 0.001 and0.003 Ω per oC, respectively at 20oC. Calculate the effective temperature coefficient of the combination.

  Determine which of machines is realizable with finite states

Determine which of the machines with the following specifications is realizable with a finite number of states. 1) A machine is to produce an output of 1 whenever the number of 1's in the input sequence exceeds the number of 0's.

  Design a dc power supply with an ouput voltage

design a DC power supply with an ouput voltage of 12 v from an Ac source of120 v rms and 60 HZ. the rms ripple voltage should be limited to10% of the DC output. use a load resistance of 10 KΩ

  Solve for the transformer impedance referred to the primary

It is desired to know the design impedence referred to the primary and to the secondary for basic engineering calculations and analysis a. solve for the transformer impedance referred to the primary b. solve for the tranformer impedance referred to ..

  At is the main difference between linear and nonlinear

What is the Main Difference between Linear and Nonlinear Circuit ? with examples of liner Circuits and Linear Elements and Non Liner Circuits and Non Linear Elements .

  For a 1-gbps network operating over 5000 km the delay is

1. for a 1-gbps network operating over 5000 km the delay is the limiting factor not the bandwidth. consider a

  Design 3 stage amplifier with the input signal

Design 3 stage amplifier with the following requirement input signal = 5 mV with R=10k ohms over all gain > 1000 power supply: Vcc = 5V, Vee = -5V Rin > 1 Mega Ohms Rout = 100 ohms 1st Stage BJT must use inverting

  Calculate the increase in units of decibels

A)An amplifier has an input voltage of 0.5V and an output voltage of 12V.Calculate the increase in unit decibels. B)An amplifer has an input power 2W and an output power of 80W. Calculate the increase units of decibels.

  Describe as best as possible an automatic garage door opener

discuss to describe as best as possible an automatic garage door opener system. You should either use one you are familiar with as an example, or recall the details from one or more that you imagine could be available

  By finding the relevant air analysis determine the

by finding the relevant air analysis determine the partial pressure of nitrogen in the athmosphere at 1.00bar.f a

Free Assignment Quote

Assured A++ Grade

Get guaranteed satisfaction & time on delivery in every assignment order you paid with us! We ensure premium quality solution document along with free turntin report!

All rights reserved! Copyrights ©2019-2020 ExpertsMind IT Educational Pvt Ltd